Li ZQ, Dong LS, Ma X et al. Fast source mask co-optimization method for high-NA EUV lithography. Opto-Electron Adv 7, 230235 (2024). doi: 10.29026/oea.2024.230235
Citation: Li ZQ, Dong LS, Ma X et al. Fast source mask co-optimization method for high-NA EUV lithography. Opto-Electron Adv 7, 230235 (2024). doi: 10.29026/oea.2024.230235

Article Open Access

Fast source mask co-optimization method for high-NA EUV lithography

More Information
  • Extreme ultraviolet (EUV) lithography with high numerical aperture (NA) is a future technology to manufacture the integrated circuit in sub-nanometer dimension. Meanwhile, source mask co-optimization (SMO) is an extensively used approach for advanced lithography process beyond 28 nm technology node. This work proposes a novel SMO method to improve the image fidelity of high-NA EUV lithography system. A fast high-NA EUV lithography imaging model is established first, which includes the effects of mask three-dimensional structure and anamorphic magnification. Then, this paper develops an efficient SMO method that combines the gradient-based mask optimization algorithm and the compressive-sensing-based source optimization algorithm. A mask rule check (MRC) process is further proposed to simplify the optimized mask pattern. Results illustrate that the proposed SMO method can significantly reduce the lithography patterning error, and maintain high computational efficiency.
  • 加载中
  • [1] Erdmann A. Optical and EUV Lithography: A Modeling Perspective (SPIE Press, Bellingham, 2021).

    Google Scholar

    [2] Bakshi V. EUV Lithography (SPIE Press, Bellingham, 2009).

    Google Scholar

    [3] Mack C. Fundamental Principles of Optical Lithography: the Science of Microfabrication (John Wiley & Sons, Chichester, 2007).

    Google Scholar

    [4] Ma X, Arce GR. Computational Lithography (John Wiley & Sons, Hoboken, 2010).

    Google Scholar

    [5] Lin JX, Dong LS, Fan TA et al. Fast aerial image model for EUV lithography using the adjoint fully convolutional network. Opt Express 30, 11944–11958 (2022). doi: 10.1364/OE.452420

    CrossRef Google Scholar

    [6] Liu P, Xie XB, Liu W et al. Fast 3D thick mask model for full-chip EUVL simulations. Proc SPIE 8679, 86790W (2013). doi: 10.1117/12.2010818

    CrossRef Google Scholar

    [7] Li ZQ, Jing XY, Dong LS et al. Fast diffraction model of an EUV mask based on asymmetric patch data fitting. Appl Opt 62, 6561–6570 (2023). doi: 10.1364/AO.499361

    CrossRef Google Scholar

    [8] Shiraishi M, Oshino T, Murakami K et al. Flare modeling and calculation on EUV optics. Proc SPIE 7636, 763629 (2010). doi: 10.1117/12.846472

    CrossRef Google Scholar

    [9] Rosenbluth AE, Bukofsky SJ, Fonseca CA et al. Optimum mask and source patterns to print a given shape. J Micro/Nanolithogr MEMS MOEMS 1, 13–30 (2002). doi: 10.1117/1.1448500

    CrossRef Google Scholar

    [10] Pan YH, Ma X, Zhang SE et al. Efficient informatics-based source and mask optimization for optical lithography. Appl Opt 60, 8307–8315 (2021). doi: 10.1364/AO.433962

    CrossRef Google Scholar

    [11] Ma X, Dong LS, Han CY et al. Gradient-based joint source polarization mask optimization for optical lithography. J Micro/Nanolithogr MEMS MOEMS 14, 023504 (2015). doi: 10.1117/1.JMM.14.2.023504

    CrossRef Google Scholar

    [12] Ma X, Wang ZQ, Chen XB et al. Gradient-based source mask optimization for extreme ultraviolet lithography. IEEE Trans Comput Imaging 5, 120–135 (2019). doi: 10.1109/TCI.2018.2880342

    CrossRef Google Scholar

    [13] Zou LL, Sun YY, Wei PZ et al. Exposure latitude aware source and mask optimization for extreme ultraviolet lithography. Appl Opt 60, 9404–9410 (2021). doi: 10.1364/AO.440528

    CrossRef Google Scholar

    [14] Zhang ZN, Li SK, Wang XZ et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm. Opt Express 29, 5448–5465 (2021). doi: 10.1364/OE.418242

    CrossRef Google Scholar

    [15] Gao WM, Zhu BE, Chiou TB et al. Computational lithographic study of 0.55 NA EUV single patterning for metal layers for the 2nm logic node and beyond. Proc SPIE 12052, 120520G (2022).

    Google Scholar

    [16] van Schoot J, van Setten E, Rispens G et al. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond. J Micro/Nanolithogr MEMS MOEMS 16, 041010 (2017).

    Google Scholar

    [17] Gao WM, Chen CK, Zimmermann J. Computational evaluation of critical logical metal layers of pitch 20-24nm and the aberration sensitivity in high NA EUV single patterning. Proc SPIE 12495, 1249509 (2023).

    Google Scholar

    [18] Li ZQ, Dong LS, Ma X et al. Decomposition-learning-based thick-mask model for partially coherent lithography system. Opt Express 31, 20321–20337 (2023). doi: 10.1364/OE.488511

    CrossRef Google Scholar

    [19] Li ZQ, Dong LS, Jing XY et al. High-precision lithography thick-mask model based on a decomposition machine learning method. Opt Express 30, 17680–17697 (2022). doi: 10.1364/OE.454513

    CrossRef Google Scholar

    [20] Azpiroz JT, Burr GW, Rosenbluth AE et al. Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper–NA immersion lithography. Proc SPIE 6924, 69240Y (2008). doi: 10.1117/12.774443

    CrossRef Google Scholar

    [21] Lee SG, Lee KI, Lee JU et al. More stable algorithm for rigorous coupled wave analysis applied to topography simulation in optical lithography and its numerical implementation. Proc SPIE 2726, 288–298 (1996). doi: 10.1117/12.240966

    CrossRef Google Scholar

    [22] Ma X, Han CY, Li YQ et al. Hybrid source mask optimization for robust immersion lithography. Appl Opt 52, 4200–4211 (2013). doi: 10.1364/AO.52.004200

    CrossRef Google Scholar

    [23] Ma X, Shi DX, Wang ZQ et al. Lithographic source optimization based on adaptive projection compressive sensing. Opt Express 25, 7131–7149 (2017). doi: 10.1364/OE.25.007131

    CrossRef Google Scholar

    [24] Lin JX, Dong LS, Fan TA et al. Learning-based compressive sensing method for EUV lithographic source optimization. Opt Express 27, 22563–22581 (2019). doi: 10.1364/OE.27.022563

    CrossRef Google Scholar

    [25] Cai JF, Osher S, Shen ZW. Linearized Bregman iterations for compressed sensing. Math Comput 78, 1515–1536 (2009). doi: 10.1090/S0025-5718-08-02189-3

    CrossRef Google Scholar

    [26] Poonawala A, Milanfar P. Mask design for optical microlithography—an inverse imaging problem. IEEE Trans Image Process 16, 774–788 (2007). doi: 10.1109/TIP.2006.891332

    CrossRef Google Scholar

    [27] Yasuda J, Nomura H, Matsumoto H et al. Recent progress and future of electron multi-beam mask writer. Jpn J Appl Phys 62, SG0803 (2023). doi: 10.35848/1347-4065/acb65d

    CrossRef Google Scholar

  • 加载中
通讯作者: 陈斌, bchen63@163.com
  • 1. 

    沈阳化工大学材料科学与工程学院 沈阳 110142

  1. 本站搜索
  2. 百度学术搜索
  3. 万方数据库搜索
  4. CNKI搜索

Figures(11)

Tables(3)

Article Metrics

Article views(2262) PDF downloads(663) Cited by(0)

Access History

Other Articles By Authors

Article Contents

Catalog

    /

    DownLoad:  Full-Size Img  PowerPoint